Apró részleteket közölt új gyártástechnológiájáról az Intel

A vállalat vezető alelnöke felvázolta az Intel 14A és 14A-E node-ok esetében az előrelépés mértékét.

Az előző hónapban írtunk arról, hogy prezentálta friss gyártástechnológiai útitervét az Intel, de a vállalat az újonnan bejelentett Intel 14A node-ok esetében nem tért ki részletekre, így nem lehetett tudni az előrelépés mértékét.

Most azonban Anne Kelleher, a vállalat vezető alelnöke a SPIE 2024 konferencián kifejtette, hogy az Intel 14A a 18A-hoz viszonyítva 15%-os előrelépést kínál majd a teljesítmény/fogyasztás tekintetében, illetve erre a 14A-E node még rátesz 5%-ot. Mindemellett a tranzisztorsűrűség 20%-kal lesz jobb.

Az Intel 14A node-on továbbra is a 2026-os év végén kezdődhet meg a kísérleti gyártást, amit leghamarabb 2027-ben, de inkább 2028-ban követhet a tömegtermelés.

  • Kapcsolódó cégek:
  • Intel

Azóta történt

Előzmények

Hirdetés